а слабо сделать такое на vHDL?

Lexa_hnure

Привіт!
Статус: Offline
Реєстрація: 27.03.2009
Повідом.: 2
а слабо сделать такое на vHDL?

Аппаратная реализация квадратного корня
в разных вариациях (разная реализация)
 
ТС чемуто научился теперь хвастается?
 
не слабо
только учи матчасть сам, двоишник
там дел на час

Я конечно извиняюсь, но с наших студентов я уже просто ору
Вот скажи - нахуя ты пошел в ХИРЭ?
Что ты собираешься там получить с такими вопросами?
Когда я учился - из доступного был OrCad и 1 (одна) книга на Харьков по vHDL в библиотеке короленко
И то я сделал какую-никакую компрессию на Xilinx (правда в железе не тестили)
Тебе же не хватает в голове набрать https://www.google.com/search?source=ig&hl=en&rlz=&q=vhdl+square+root, например, и найти стопицот готовых решений
Накуя вообще институты такие?
 
+100500 к предыдущему посту
 
Дык уж если хвастаешься... так выложил бы код. Отписал бы чем твой изобретенный велосипед лучше...
 
собсно я не хвастаюсь и с гуглом вообще изобретать велосипеды не собираюсь
я просто знаю как делать - найти итерационный алгоритм получения результата и переписать его на vHDL
сорри но у меня другая работа сейчас, за которую мне платят
я к тому что надо иногда включать то, куда едят.

3 ссылка из гугла:

library IEEE;
use IEEE.std_logic_1164.all;
use ieee.numeric_std.all; -- for UNSIGNED

function sqrt ( d : UNSIGNED ) return UNSIGNED is
variable a : unsigned(31 downto 0):=d; --original input.
variable q : unsigned(15 downto 0):=(others => '0'); --result.
variable left,right,r : unsigned(17 downto 0):=(others => '0'); --input to adder/sub.r-remainder.
variable i : integer:=0;

begin
for i in 0 to 15 loop
right(0):='1';
right(1):=r(17);
right(17 downto 2):=q;
left(1 downto 0):=a(31 downto 30);
left(17 downto 2):=r(15 downto 0);
a(31 downto 2):=a(29 downto 0); --shifting by 2 bit.
if ( r(17) = '1') then
r := left + right;
else
r := left - right;
end if;
q(15 downto 1) := q(14 downto 0);
q(0) := not r(17);
end loop;
return q;

end sqrt;

еще -
Тільки зареєстровані користувачі бачать весь контент у цьому розділі
 
собсно я не хвастаюсь и с гуглом вообще изобретать велосипеды не собираюсь
я просто знаю как делать - найти итерационный алгоритм получения результата и переписать его на vHDL
сорри но у меня другая работа сейчас, за которую мне платят
я к тому что надо иногда включать то, куда едят.
Да собственно речь была направлена больше к ТСу, а не к Вам RainBoy...
Хотя справедливости стоит отметить, что ТС хоть что-то сделал сам (мозги можно тренировать и на VHDL), а не как сейчас преобладающее множество студентов. По поводу актуальности думаю тоже спор бессмысленный, кто-то считает это пустой тратой времени, кто-то наоборот.
 
Я конечно извиняюсь, но с наших студентов я уже просто ору
Вот скажи - нахуя ты пошел в ХИРЭ?
Что ты собираешься там получить с такими вопросами?

+100500, алгоритмы на 2 или 3 курсе подробно разжевывают, причем не только для корня. Конспекты надо писать, а не пары прогуливать
 
Однако ,не надо комплексировать создателя темы ,для него это прорыв и первое вхождение в теории алгоритмов, возможно уже завтра будет сложная задача,и возможно ,уже послезавтра ,будет премия госзначения.
Один мой знакомый с кафедры прикладной математики ,замечательный программист,
большие перспективы, стажировка в США , и вдруг он начинает минимизировать циклические расчеты функциональных вхождений в теории единого мат- функционального исполнения.Теперь он доктор наук .
 
это не мне. я уже закончил учёбу и мне оно не надо. я в другом деле шарю )
а программинг особенно vhdl - для меня не понятен. всё тут
 
Назад
Зверху Знизу